darren_liu 发表于 2019-10-26 16:36:36

【求助】STM32cubeMX5.3.0生成工程出错

RT
各位好,我新装的STM32cubeMX5.3.0,固件:STM32Cube FW——F1 V1.8.0 在生成工程时会报错。
../Drivers/STM32F1xx_HAL_Driver/Src/stm32f1xx_hal_rcc_ex.c(116): error:#268: declaration may not appear after executable statement in block

固件是软件自己下载安装的,按说不应该出错。

我的疑问是之前用过其他版本固件,没有报该错误。现在报这样的错误是不是固件的问题???发帖想跟各位求证一下。欢迎大家各抒己见。

哀歌与世无争 发表于 2019-10-31 19:44:44

keil 勾选C99模式,变量可以再语句中定义,而不用再函数的开头。
data:image/png;base64,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

ldptest 发表于 2019-10-28 12:00:40

度娘一下:

..\APP\app.c(51): error:#268: declaration may not appear after executable statement in block
分析问题:
这个错误的原因是对于变量的声明不能放在可执行语句后面,必须在主函数开头声明变量。在程序中声明一个变量时,需要在可执行语句之前声明,否则会出现以上错误。

能否贴一下前后代码?
这是1.7.0版

前面有效代码
#include "stm32f1xx_hal.h"

#ifdef HAL_RCC_MODULE_ENABLED


下一行为116行
HAL_StatusTypeDef HAL_RCCEx_PeriphCLKConfig(RCC_PeriphCLKInitTypeDef*PeriphClkInit)
{
uint32_t tickstart = 0U, temp_reg = 0U;
#if defined(STM32F105xC) || defined(STM32F107xC)
uint32_tpllactive = 0U;
#endif /* STM32F105xC || STM32F107xC */

/* Check the parameters */
assert_param(IS_RCC_PERIPHCLOCK(PeriphClkInit->PeriphClockSelection));

/*------------------------------- RTC/LCD Configuration ------------------------*/
if ((((PeriphClkInit->PeriphClockSelection) & RCC_PERIPHCLK_RTC) == RCC_PERIPHCLK_RTC))
{
    /* check for RTC Parameters used to output RTCCLK */
    assert_param(IS_RCC_RTCCLKSOURCE(PeriphClkInit->RTCClockSelection));

    FlagStatus       pwrclkchanged = RESET;

    /* As soon as function is called to change RTC clock source, activation of the
       power domain is done. */
    /* Requires to enable write access to Backup Domain of necessary */
    if(__HAL_RCC_PWR_IS_CLK_DISABLED())
    {
    __HAL_RCC_PWR_CLK_ENABLE();
      pwrclkchanged = SET;
    }


今天下载1.8.0版
对比stm32f1xx_hal_rcc_ex.c(116)附近,与1.7.0版一致。


楼主还是从其他地方寻找问题点。

butterflyspring 发表于 2019-10-31 15:52:19

用IAR 和 KEIL 对 1.8.0版本生成的工程编译都通过了哦:)

butterflyspring 发表于 2019-11-4 11:10:15

确实是,同意楼上的高见。学习了。自动生成的项目已经勾选了C99 编译规则:)
页: [1]
查看完整版本: 【求助】STM32cubeMX5.3.0生成工程出错